User Tools

Site Tools


projects:maps21:s:dcont:code:pack:pack

This is an old revision of the document!


Packetdefinitionen für beide CPLDs


– Copyright 2023 – GSI Helmholtzzentrum fuer Schwerionenforschung GmbH – Planckstr. 1, 64291 Darmstadt – Author: Rene Geissler, r.geissler@gsi.de


– – functional description – * project wide type definitions –


– VHDL standard: VHDL-2002


library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all;

use work.constant_package.all;

package type_package is

type t_trafo_signals is array (0 to c_num_trafos - 1) of std_logic_vector(c_trafo_signal_wl - 1 downto 0);

end type_package;

projects/maps21/s/dcont/code/pack/pack.1715073482.txt.gz · Last modified: 2024/05/07 11:18 by carsten