User Tools

Site Tools


projects:maps21:s:dcont:code:code

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
projects:maps21:s:dcont:code:code [2024/05/01 18:39]
carsten
projects:maps21:s:dcont:code:code [2024/05/07 11:19] (current)
carsten [VHDL-Code]
Line 9: Line 9:
 https://git.gsi.de/BEA_HDL/MAPS_CPLD_Gateware https://git.gsi.de/BEA_HDL/MAPS_CPLD_Gateware
  
-| [[projects:maps21:s:dcont:code:cpld1:cpld1|Code CPLD1]]  [[projects:maps21:s:dcont:code:cpld2:cpld2|Code CPLD2]]  |+^ Messbeeich, Dekodierer  ^ Klemmpulse 
 +| [[projects:maps21:s:dcont:code:cpld1:cpld1|Code CPLD1]]  | [[projects:maps21:s:dcont:code:cpld2:cpld2|Code CPLD2]]  | 
 +^ Die Konstanten  ^ Mapping Signal - Pin  ^ 
 +| [[projects:maps21:s:dcont:code:const:const|Constanten]]\\ [[projects:maps21:s:dcont:code:pack:pack|Package Definition]]  | [[projects:maps21:s:dcont:code:pins1:pins1|Mapping Signal - Pin1]]\\ [[projects:maps21:s:dcont:code:pins2:pins2|Mapping Signal - Pin2]]  |
projects/maps21/s/dcont/code/code.1714581585.txt.gz · Last modified: 2024/05/01 18:39 by carsten