User Tools

Site Tools


projects:maps21:s:dcont:code:pack:pack

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
projects:maps21:s:dcont:code:pack:pack [2024/05/07 11:15]
carsten
projects:maps21:s:dcont:code:pack:pack [2024/05/09 17:19] (current)
carsten
Line 1: Line 1:
 +☚ [[projects:maps21:s:dcont:code:code|Zurück]] ★
 +
 ====== Packetdefinitionen für beide CPLDs ====== ====== Packetdefinitionen für beide CPLDs ======
  
 +<code vhdl>
  
 +--------------------------------------------------------------------------------
 +-- Copyright 2023
 +-- GSI Helmholtzzentrum fuer Schwerionenforschung GmbH
 +-- Planckstr. 1, 64291 Darmstadt
 +-- Author: Rene Geissler, r.geissler@gsi.de
 +--------------------------------------------------------------------------------
 +--
 +-- functional description
 +--     * project wide type definitions
 +--
 +--------------------------------------------------------------------------------
 +-- VHDL standard: VHDL-2002
 +--------------------------------------------------------------------------------
  
-<code vhdl>+library ieee; 
 +use ieee.std_logic_1164.all; 
 +use ieee.numeric_std.all; 
 + 
 +use work.constant_package.all; 
 + 
 +package type_package is 
 + 
 + type t_trafo_signals is array (0 to c_num_trafos - 1) of std_logic_vector(c_trafo_signal_wl - 1 downto 0); 
 + 
 +end type_package;
  
-</code vhdl>+</code>
  
projects/maps21/s/dcont/code/pack/pack.1715073344.txt.gz · Last modified: 2024/05/07 11:15 by carsten