User Tools

Site Tools


projects:maps21:s:dcont:code:pack:pack

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
projects:maps21:s:dcont:code:pack:pack [2024/05/07 11:14]
carsten
projects:maps21:s:dcont:code:pack:pack [2024/05/09 17:19] (current)
carsten
Line 1: Line 1:
 +☚ [[projects:maps21:s:dcont:code:code|Zurück]] ★
 +
 ====== Packetdefinitionen für beide CPLDs ====== ====== Packetdefinitionen für beide CPLDs ======
  
 <code vhdl> <code vhdl>
 +
 -------------------------------------------------------------------------------- --------------------------------------------------------------------------------
 -- Copyright 2023 -- Copyright 2023
Line 27: Line 30:
  
 end type_package; end type_package;
-</code vhdl>+ 
 +</code>
  
projects/maps21/s/dcont/code/pack/pack.1715073246.txt.gz · Last modified: 2024/05/07 11:14 by carsten