User Tools

Site Tools


projects:maps21:s:dcont:code:cpld1:cpld1

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
projects:maps21:s:dcont:code:cpld1:cpld1 [2024/05/09 12:43]
carsten [Code Orginal]
projects:maps21:s:dcont:code:cpld1:cpld1 [2024/05/09 16:36] (current)
carsten
Line 1: Line 1:
-☚ [[projects:maps21:s:dcont:code:code|CPLD1]] ★+☚ [[projects:maps21:s:dcont:code:code|Zurück]] ★
  
 ====== Code für CPLD1 ====== ====== Code für CPLD1 ======
Line 76: Line 76:
  -- common to modes 0 and 1  -- common to modes 0 and 1
  if mode <= to_unsigned(1, c_mode_wl) then  if mode <= to_unsigned(1, c_mode_wl) then
-                                -- Acht waagrechte Balken mehr oder weniger ausgescuert!+                                -- Acht waagrechte Balken mehr oder weniger ausgesteuert!
  r := to_integer(unsigned(trafo_signals(t)(c_measurement_range_wl - 1 downto 0))); -- measurement range (range 1 to 5)  r := to_integer(unsigned(trafo_signals(t)(c_measurement_range_wl - 1 downto 0))); -- measurement range (range 1 to 5)
  for m in 0 to c_num_measurement_ranges - 1 loop  for m in 0 to c_num_measurement_ranges - 1 loop
projects/maps21/s/dcont/code/cpld1/cpld1.1715251426.txt.gz · Last modified: 2024/05/09 12:43 by carsten