User Tools

Site Tools


projects:maps21:s:dcont:code:pack:pack

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Last revision Both sides next revision
projects:maps21:s:dcont:code:pack:pack [2024/05/07 11:13]
carsten
projects:maps21:s:dcont:code:pack:pack [2024/05/07 11:19]
carsten
Line 1: Line 1:
 +☚ [[projects:maps21:s:dcont:code:code|CPLD1]] ★
 +
 ====== Packetdefinitionen für beide CPLDs ====== ====== Packetdefinitionen für beide CPLDs ======
  
-<ode vhdl>+<code vhdl> 
 -------------------------------------------------------------------------------- --------------------------------------------------------------------------------
 -- Copyright 2023 -- Copyright 2023
Line 27: Line 30:
  
 end type_package; end type_package;
-</code vhdl>+ 
 +</code>
  
projects/maps21/s/dcont/code/pack/pack.txt · Last modified: 2024/05/09 17:19 by carsten