☚ [[projects:maps21:s:dcont:dcont#code_fuer_cplds| Zurück]] ★ ====== VHDL-Code ====== Wie oben beschrieben dienen zwei Punktmatrixelemente der "Realtime-Anzeige" der Trafo Stati im 50 Hz-Takt (20ms). Dabei müssen mitunter auch 10µs kurze Pulse erfasst und zwischengespeichert werden und live angezeigt werden. CPLDs sind grundsätzlich schnell genug, was Mikrocontroller mit sequentieller Arbeit nur bedingt sind. 4 Der VHDL- Code (In Arbeit ist hier beschrieben): https://git.gsi.de/BEA_HDL/MAPS_CPLD_Gateware ^ Messbeeich, Dekodierer ^ Klemmpulse ^ | [[projects:maps21:s:dcont:code:cpld1:cpld1|Code CPLD1]] | [[projects:maps21:s:dcont:code:cpld2:cpld2|Code CPLD2]] | ^ Die Konstanten ^ Mapping Signal - Pin ^ | [[projects:maps21:s:dcont:code:const:const|Constanten]]\\ [[projects:maps21:s:dcont:code:pack:pack|Package Definition]] | [[projects:maps21:s:dcont:code:pins1:pins1|Mapping Signal - Pin1]]\\ [[projects:maps21:s:dcont:code:pins2:pins2|Mapping Signal - Pin2]] |