User Tools

Site Tools


projects:maps21:s:dcont:code:cpld1:cpld1

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
projects:maps21:s:dcont:code:cpld1:cpld1 [2024/05/07 22:57]
carsten [Erläuterungen]
projects:maps21:s:dcont:code:cpld1:cpld1 [2024/05/09 16:36] (current)
carsten
Line 1: Line 1:
-☚ [[projects:maps21:s:dcont:code:code|CPLD1]] ★+☚ [[projects:maps21:s:dcont:code:code|Zurück]] ★
  
 ====== Code für CPLD1 ====== ====== Code für CPLD1 ======
Line 6: Line 6:
  
 ^ Varable  ^  Constante  ^^ ^ Varable  ^  Constante  ^^
-| s = "1ms-Takte"\\ t = Trafoindex Laufvariabel\\ r = Reihe/Spalte d. Anzeige Laufvariabel\\ m = Laufvariable Stelle im Bitstring stroke\\ \\ **trafosignals[5..0]** Bitstring[5..0] (<color green>RRR</color><color red>T</color><color green>P</color>) (<color #DDDD55>K</color>)\\ **Stroke[4..0]** = Bitstring[4..0] Eingangsvariable 1ms-Takt\\ **Mode[2..0]** = Bitstring Mode[1..0] Eingangsvariable ^ c_num_trafos | = Trafoanzahl = 8  |+| s(t) = "1ms-Takte"\\ t = Trafoindex Laufvariabel\\ r = Reihe/Spalte d. Anzeige Laufvariabel\\ m = Laufvariable Stelle im Bitstring stroke\\ \\ **trafosignals[5..0]** Bitstring[5..0] (<color green>RRR</color><color red>T</color><color green>P</color>) (<color #DDDD55>K</color>)\\ **Stroke[4..0]** = Bitstring[4..0] Eingangsvariable 1ms-Takt\\ **Mode[2..0]** = Bitstring Mode[1..0] Eingangsvariable ^ c_num_trafos | = Trafoanzahl = 8  |
 | ::: ^ c_stroke_wl | = Länge Bitstring[4..0] = 5 | | ::: ^ c_stroke_wl | = Länge Bitstring[4..0] = 5 |
 | ::: ^  |    | ::: ^  |   
Line 76: Line 76:
  -- common to modes 0 and 1  -- common to modes 0 and 1
  if mode <= to_unsigned(1, c_mode_wl) then  if mode <= to_unsigned(1, c_mode_wl) then
 +                                -- Acht waagrechte Balken mehr oder weniger ausgesteuert!
  r := to_integer(unsigned(trafo_signals(t)(c_measurement_range_wl - 1 downto 0))); -- measurement range (range 1 to 5)  r := to_integer(unsigned(trafo_signals(t)(c_measurement_range_wl - 1 downto 0))); -- measurement range (range 1 to 5)
  for m in 0 to c_num_measurement_ranges - 1 loop  for m in 0 to c_num_measurement_ranges - 1 loop
Line 94: Line 95:
  if s = 5 or s = 6 + 5 then  if s = 5 or s = 6 + 5 then
  led_rows_green(t) <= trafo_signals(t)(4); -- display trafo present signal in cycles 5 and 11  led_rows_green(t) <= trafo_signals(t)(4); -- display trafo present signal in cycles 5 and 11
 +                                      --led_rows_red(t) <= trafo_signals(t)(3); (Testfunktion)
  end if;  end if;
  end if;  end if;
projects/maps21/s/dcont/code/cpld1/cpld1.1715115422.txt.gz · Last modified: 2024/05/07 22:57 by carsten